Connect with us

Bussiness

KLA Corp: Wonderful Business, Lousy Price

Published

on

KLA Corp: Wonderful Business, Lousy Price

KLA Corp. (NASDAQ:KLAC) is the world’s dominant supplier of process control and yield management solutions for semiconductors, printed circuit boards and flat panel displays. Its products are critical throughout the entire wafer fabrication process as they are used by its customers to improve yields, reduce risks and reduce costs.

The company has experienced phenomenal growth over the past five years, mostly driven by customers’ increasing demand due to accelerated adoption of the EUV technology as well as the massive infrastructure investment in China. Going forward, KLA will still benefit from some of the tailwinds it has enjoyed in the past. However, the growth rate is likely to be lower in the next five years due to a number of challenges.

While KLA has three reportable segments, the Semiconductor Process Control segment accounts for more than 90% of the total revenue. Within this segment, the company offers the industry’s widest portfolio of inspection, metrology and software products, as well as related services to its wafer fabrication customers. KLA’s customers use its products throughout the entire fabrication process to improve yields and reduce costs.

KLA Corp: Wonderful Business, Lousy Price

KLA Corp: Wonderful Business, Lousy Price

KLA Corp: Wonderful Business, Lousy PriceKLA Corp: Wonderful Business, Lousy Price

KLA Corp: Wonderful Business, Lousy Price

For semiconductor equipment investors, it is hard not to notice KLA’s sustained outperformance against the other two dominant players, namely Applied Materials (NASDAQ:AMAT) and Lam Research (NASDAQ:LRCX). The following chart shows that KLA has outperformed both companies over several different periods.

KLA Corp: Wonderful Business, Lousy PriceKLA Corp: Wonderful Business, Lousy Price

KLA Corp: Wonderful Business, Lousy Price

One of Warren Buffett (Trades, Portfolio)’s favorite quotes is from his mentors Benjamin Graham, who famously said: In the short-run, the market is a voting machine; in the long-run, the market is a weighing machine.With regard to KLA, it is clear the market has appropriately weighed its stock price as its fundamental growth outperformed Lam Research and Applied Materials over both the short and long term.

As JPMorgan (NYSE:JPM) analyst Harlan Sur pointed out during the 2024 J.P. Morgan 52nd Annual Global Technology, Media and Communications Conference, over the past 10 years, wafer equipment spending has grown at a 12% compound annual rate, while the semiconductor industry revenue growth CAGR was 6%. KLA has increased its process control systems revenue at a 13% CAGR. At the 2024 Morgan Stanley Technology, Media & Telecom Conference, Bren Higgins, KLA’s chief financial officer and executive vice president, also shared the optical inspection segment grew at about 1.70 times what wafer fabrication equipment grew from 2019 to 2022, driven by the adoption of EUV and more and more scaling of devices, which fueled the process control intensity.

There have been a few growth drivers for KLA during the decade, particularly since 2019.

First of all, the adoption of extreme ultraviolet lithography in leading-edge logic has resulted in increased capital expenditure intensity for process control equipment. This is evidenced by statistics Bren Higgins shared during the J.P. Morgan conference that the capex intensity for process control in logic can be somewhere in the mid-teens as a percent of WFE where memory can be somewhere closer to 10%.

Second, design starts have increased. This also benefits KLA as new integrated circuit design companies typically require more investment in inspection and metrology than existing design houses.

Third, KLA has continued to expand its market share. As disclosed in its third-quarter 2024 earnings call slides, the company’s process control market share has grown more than 500bps since 2018, reaching more than 56% in 2023. Moreover, the company gained market share in the rapidly growing advanced packaging market with its share in advanced wafer level packing process control market for five consecutive years and nearly doubled market share from 2022 to 2023.

Last but not least, KLA’s growth in China has been very strong until 2023 due to U.S restrictions on leading-edge logic and memory.

Not only did KLA grow faster, it also enjoys higher margins than its competitors.

KLA Corp: Wonderful Business, Lousy PriceKLA Corp: Wonderful Business, Lousy Price

KLA Corp: Wonderful Business, Lousy Price

The gross margin differential is quite remarkable. This is a reflection of the competitive environment for process control equipment. As KLA’s management has repeatedly highlighted in is earnings calls and industry conferences, its market share is more than 4.50 times over its largest competitor.

Another piece of evidence of KLA’s competitive strength is its ability to keep its dominance in China when domestic Chinese players have taken share from U.S companies in other semiconductor equipment categories. For instance, within the deposition and etch segments of China’s market, China’s domestic players such as Naura (SZSE:002371) and Amec (SHSE:688012) have taken substantial market share from Applied Materials and Lam Research. However, KLA’s largest Chinese competitor still accounts for less than 1% of China’s overall process control market. This speaks for the strength and importance of its products.

While KLA’s gross margin is significantly higher than rivals’, its operating and net margins are much less impressive as it is operationally less efficient. KLA’s selling, general and administrative spending accounts for almost 10% of revenue, whereas both Applied Materials and Lam Research only spend about 6% of revenue on SG&A. This is an area where I think KL can improve in the future.

Obviously there’s a lot to like about KLA’s business. However, it is important to think about the risks as well.

First of all, KLA’s largest leading-edge customers, such as Taiwan Semiconductor (NYSE:TSM) and Samsung (XKRX:005930) are already performing inspection and metrology services in-house. There is some concern that as Taiwan Semiconductor grows larger and as the cost of nodes advancement gets more expense, it will perform more inspection and metrology services by itself.

Second, over the past five years, smaller companies have successfully replaced KLA as the dominant player in certain niche markets within the inspection and metrology space. For instance, Japan’s Lasertec (TSE:6920) overtook KLA and became the dominant EUV mask inspection player.

Third, there is always new technology in the inspection and metrology space. For instance, recently there has been quite a bit of new technological development with e-Beam inspection, which requires a huge amount of investment. KLA has not only lagged its competitors such as Applied Materials and Hitachi in the e-Beam market, but also fallen behind new entrants such as HMI, which was acquired by ASML (NASDAQ:ASML).

Last but not least, geopolitics is playing an increasing role in the global semiconductor industry. This creates unprecedented challenges for global WFE suppliers such as KLA. Specifically, since 2022, the U.S government has announced export restrictions which require an export license from BIS for sales to China-based customers that engaged in military end uses, and for certain U.S. semiconductor and high-performance computing technology (including wafer fab equipment), for the use of such technology for certain end uses in China, and for the provision of support by U.S. persons to certain advanced IC fabs located in China. The export restrictions have accelerated China’s path toward self-sufficiency, which could result in a substantial reduction to KLA’s China business as domestic competitors take advantage of the export restrictions.

Clearly KLA possesses most of the characteristics of a great business. Its shareholders have done well over the past five years. In the very long term, the stock has also been a fantastic compounder. However, according to the GF Value Rank, its current valuation level appears to be very high both measured against the industry and against its history. This suggests that while KLA may indeed be a strong and successful company, Mr. Market may have more than fully priced in its intrinsic value.

This article first appeared on GuruFocus.

Continue Reading