Connect with us

Tech

Intel Core Ultra 200S “Arrow Lake” Desktop CPUs Launched: Full Specs, Prices, Performance, Up To 188W Lower Power & Run Much Cooler Than 14th Gen

Published

on

Intel Core Ultra 200S “Arrow Lake” Desktop CPUs Launched: Full Specs, Prices, Performance, Up To 188W Lower Power & Run Much Cooler Than 14th Gen

Intel’s Core Ultra 200S “Arrow Lake” Desktop CPUs have now officially launched & here are the full price, performance, and specs details.

Intel Core Ultra 200S “Arrow Lake” Desktop CPUs Pack Brand New Architecture, Consume Lower Power, Run Cooler & Are Made For Next-Gen LGA 1851 Platforms With Feature Rich IO Capabilities

The next chapter of Intel’s Desktop CPU journey has been highly anticipated by many, especially since the 14th Gen CPUs were merely a refresh and didn’t offer a much notable upgrade over the older 13th Gen Raptor Lake CPUs. Furthermore, Intel was hit with major backlash from audiences within the PC consumer market for the instability issues that affected the majority of top-end Core i9 13th and 14th Gen chips.

The company worked to lengths to mitigate and resolve the issues with the most recent “0x12B” microcode finally offering a concrete solution to the issues. But it’s hard to come back from such a major issue and the accompanying controversy so let’s see if Arrow Lake or Core Ultra 200S has what it takes for Intel to bounce back in the mainstream desktop segment with a solid offering.

Intel Arrow Lake CPU Architecture: Lion Cove, Skymont, Xe-LPG & NPU3 In Tiled Hierarchy

Starting first, Intel is streamlining the naming scheme to match its modern CPU lineups. Gone is the “Core I” series which has now been replaced with “Core Ultra”. Both Arrow Lake & Lunar Lake CPUs are the second generation architecture featuring the tiled design and as such, the Desktop CPUs will be referred to as Core Ultra 200S. This naming scheme will be used by both the Arrow Lake “K” and Non-K SKUs.

Now let’s dive into the Arrow Lake architecture a bit. The fundamental approach to building Arrow Lake is very similar to what we have seen in the past with the Alder Lake and Raptor Lake series. It uses two sets of core architectures, a P-Core and an E-Core.

 

The architectures themselves are similar to the ones used by Lunar Lake with Lion Cove serving as the P-Cores and Skymont serving as the E-cores. We have both cores detailed here. The decision to exclude hyper-threading on Arrow Lake has to be associated with the performance and efficiency goals as Intel states below:

We knew that we could save the wattage for hyper-threading by not including it on the product and you see we are still coming out net ahead by roughly 15-20% in nT without it. We were able to bump up efficiency and still hit our goals in overall compute performance.

The other thing I would say is that these are the same designs that we leveraged from Lunar Lake. We took those cores designs and we were able to immediately integrate them because of Foveros. So that’s the one two punch that influenced our decision, speed to market and maximizing performance per watt.

Robert Hallock (Intel VP & General Manager Client AI and Technical Marketing)

In terms of IPC gains, Intel states that Lion Cove P-Cores offer a 9% uplift over the Raptor Cove cores while the Skymont E-Cores offer a 32% uplift over the Gracemont E-Cores featured on the 14th Gen Raptor Lake CPUs. For caches, each Lion Cove P-Cres features 3 MB of L2 cache while a cluster of the Skymont E-Cores which is four E-Cores comes packed with 4 MB of L2 cache. The P-Core sees a 1 MB L2 cache increase while the L3 cache is a 36 MB (Up To) chunk shared across both CPU cores.Intel Core Ultra 200S

Other architectural improvements include the Xe-LPG (Alchemist) iGPU which adds DP4a support and offers increased graphics performance with higher AI compute capabilities and also, first for Intel’s desktop lineup, a dedicated NPU which is the same as the NPU3 featured on the Meteor Lake CPUs “Core Ultra Series 1”. This NPU offers dedicated AI computing capabilities where the need arises.

All of the building blocks of Arrow Lake CPUs are put together in a tiled fashion with a total of six tiles which include:

  • Compute Tile (TSMC N3B)
  • Graphics Tile (TSMC N5P)
  • SOC Tile (TSMC N6)
  • I/O Tile (TSMC N6)
  • Filer Tile (N/A)
  • Base Tile (Intel 1227.1)

All of these Tiles are packaged on the main interposer using Intel’s Forveros 3D packaging tech & as seen in the process description for each tile above, the compute tile is made using an external (N3B TSMC) node which is the first for an Intel Desktop CPU. For the filler tile:

Anytime you see a tile on the CPU that fills a spot, what we are really saying is you need a uniform, cavity-free surface for the heatspreader to sit on top of. If you do not mechanically support that heatspreader from below, it can bend, it can be crushed, it can be damaged, so you always want to fill all available die space and leave no cavity so filler tile, that’s what it’s for.

Robert Hallock (Intel VP & General Manager Client AI and Technical Marketing)

Arrow Lake also features an updated Thread Director. For the P-Cores, the new telemetry structure has been designed so that threads can be directed accurately and according to the workload, and for the E-Cores, the new HW-based prediction model can be leveraged for better classification to see if the workload can be accommodated on just the E-Cores or needs to be moved to the P-cores for higher performance. Lastly, the prediction model has been improved to offer more informed & controlled scheduling across the cores.

Just like Lunar Lake, the scheduler starts by scheduling the workload on the E-Cores and moving to the P-Cores as soon as more performance is needed. On being asked if this approach is viable for gaming PCs, Intel had the following to say:

Yeah it’s still the same scheduling behavior but intense workloads communicates hint to the CPU to shift the workload over to the P core. We fully expect that the vast majority of hours that the person hours, the man hours, of all the collective  CPUs that will be sold, most of those would probably be in a desktop case. The gamers game a few hours a day so we have to think about the total power consumption footprint of the product over its life cycle.

Starting with E-cores first is the way to go for power because people aren’t playing game all the time. When they do, the game will immediately snap to P cores like they do on Lunar because that’s what the games tell the Thread Director to do.

Robert Hallock (Intel VP & General Manager Client AI and Technical Marketing)

Intel Core Ultra 200S “Arrow Lake” CPU Lineup Specs & Prices: 285K, 265K, 245K

The Intel Arrow Lake “Core Ultra 200S” Desktop CPU lineup will include a total of five SKUs which will feature three “K” and two “KF” variants.

Following are the specifications for each variant.

Intel Core Ultra 9 285K 24 Core / 24 Thread CPU

The Intel Core Ultra 9 285K will be the flagship CPU within the Core Ultra 200 “Arrow Lake” Desktop CPU lineup. This chip features 8 P-cores based on the Lion Cove core architecture and 16 E-cores based on the Skymont core architecture.

 

The chip will feature 24 threads and offer 36 MB of L3 and 40 MB of L2 cache for a total of 76 MB cache pool. The CPU will operate at a base clock of 3.7 GHz on the P-Core and 3.2 GHz on the E-Core while the maximum boost clocks are rated at 5.7 GHz for the P-Cores and 4.6 GHz for the E-Cores. The CPU will be set at a PL1 TDP of 125W and have an MTP of 250W.

  • Core Ultra 9 285K: 24 Core / 24 Thread / 3.7-5.7 GHz / 36 MB L3 / 40 MB L2 / 125W-250W
  • Core i9-14900K: 24 Core / 32 Thread / 3.2-6.0 GHz / 36 MB L3 / 32 MB L2 / 125W-253W

The Core Ultra 9 285K will be priced at $589 US which is the same pricing as the Core i9-14900K so the blue team isn’t charging more for its flagship part. The AMD Ryzen 9 9950X costs $649 which is $60 US higher than the 285K

Intel Core Ultra 7 265K 20 Core / 20 Thread CPU

Next is the Intel Core Ultra 7 265K which will be another high-end chip within the family with an 8 P-Core and 12 E-Core configurations totaling up to 20 cores and 20 threads. This chip will come with 30 MB of L3 and 36 MB of L2 cache for a total pool of 66 MB. Base clocks will be set at 3.9 GHz for the P-Cores and 3.3 GHz for the E-Cores while the boost clocks will be set at up to 5.5 GHz for the P-Cores and 4.6 GHz for the E-Cores. Once again, this chip will stick with a 125W PL1 and a 250W PL2 TDP.

  • Core Ultra 7 265K: 20 Core / 20 Thread / 3.9-5.5 GHz / 30 MB L3 / 36 MB L2 / 125W-250W
  • Core i7-14700K: 20 Core / 28 Thread / 3.4-5.6 GHz / 33 MB L3 / 28 MB L2 / 125W-253W

The Core Ultra 7 265K will come in two flavors including a KF part. The K variant will be priced at $394 US while the KF variant will be priced at $379 US. These prices are slightly lower than the i7-14700K and 14700KF prices which retail for $409 US and $384 US, respectively. The Ryzen 9 9900X retails for $499 US which is $105 US higher than the K and $120 US higher than the KF model.

Intel Core Ultra 5 245K 14 Core / 14 Thread CPU

Lastly, we have the Intel Core Ultra 5 245K which has seen some tuning. The CPU retains its 6 P-Core and 8 E-Core design for 14 cores and 14 threads with 24 MB of L3 cache and 26 MB of L2 cache for a total of 50 MB cache pool. The base clocks are set at 4.2 GHz for the P-Cores and 3.6 GHz for the E-Cores while the boost clocks are set at 5.2 GHz for the P-Cores and 4.6 GHz for the E-Cores.

  • Core Ultra 5 245K: 14 Core / 14 Thread / 4.2-5.2 GHz / 24 MB L3 / 26 MB L2 / 125W-159W
  • Core i5-14600K: 14 Core / 20 Thread / 3.5-5.3 GHz / 24 MB L3 / 20 MB L2 / 125W-181W

The 245K will also come in a KF flavor with the K SKU priced at $309 US and the KF variant priced at $294 US. The K variant is $10 US lower than the pricing of the 14600K ($319) while the KF variant is priced the same. The Ryzen 7 9700X costs $359 US which makes it $40 US more expensive than the K and $55 US more expensive than the KF variant.

Technically, the Ryzen 5 segment would be the direct segment for comparison against the Core Ultra 5 but as seen in previous launches, Core i5s have positioned themselves at a worthy position against the Ryzen 7 parts due to the latter lacking in multi-threading capabilities. The same is also true for the Core Ultra 7 SKUs (versus Ryzen 9).

Besides the main specs, all five SKUs would retain 24 PCIe lanes through the CPU, 13 TOPs of NPU performance, dual-channel DDR5-6400 (up to 192 GB) memory support, and feature four Xe iGPU cores with clock speeds up to 2000 MHz. As for the missing 285KF SKU, Intel stated that while it is not included in the launch lineup, we could see it in the future as more SKUs are finalized.

Intel Core Ultra 200S “Arrow Lake” CPU Specs (Official):

CPU Cores/Threads Base Clock (P/E Core) Max Boost (P/E Core) Cache (L3 / L2) TDP (PL1 / PL2) Price (SEP)
Core Ultra 9 285K 24/24 (8+16) 3.7 / 3.2 GHz 5.7 / 4.6 GHz 36 MB / 40 MB 125W / 250W $589 US
Core Ultra 7 265K 20/20 (8+12) 3.9 / 3.3 GHz 5.4 / 4.6 GHz 30 MB / 36 MB 125W / 250W $394 US
Core Ultra 7 265KF 20/20 (8+12) 3.9 / 3.3 GHz 5.4 / 4.6 GHz 30 MB / 36 MB 125W / 250W $379 US
Core Ultra 5 245K 14/14 (6+8) 4.2 / 3.6 GHz 5.2 / 4.6 GHz 24 MB / 26 MB 125W / 159W $309 US
Core Ultra 5 245KF 14/14 (6+8) 4.2 / 3.6 GHz 5.2 / 4.6 GHz 24 MB / 26 MB 125W / 159W $294 US

Intel Core Ultra 200S “Arrow Lake” CPU Performance: How Fast Are Those New Cores?

Starting with the performance summary based on what Intel has revealed, first we have a look at the single-core performance which was tested across Geekbench 6.3, SPECrate 2017 (int_base), Cinebench 2024, and 3DMark CPU Profile.

Intel claims that its latest Desktop CPUs win with the fastest single-core performance within each benchmark, offering a 4% faster ST uplift versus the competition and an 8% uplift versus the prior generation. Here, the Core Ultra 9 285K was compared against the Core i9-14900K and the Ryzen 9 9950X. Against AMD, Intel’s lead scales from 2% to up to 8% while against its own Raptor Lake parts, the lead scales from 5% to up to 11%.

Moving over to the multi-threaded tests, the Intel Core Ultra 9 285K offers a 15% uplift versus the prior generation (14900K) and a 13% uplift versus the competition (9950X). The same benchmarks are used.

While having higher performance is great, it looks like Intel had a different goal set in mind when developing the desktop Arrow Lake CPUs as evidenced by their slides. There are just too many power and efficiency figures which highlights how the company is gunning for the lead where competition has excelled over the past couple of years.

The Intel Core Ultra 9 285K offers the same performance at half the power as the last-gen Core i9-14900K CPU which is a major leap. So for a workload in which the 14900K took 250 watts, the 285K will offer the same performance at 125 watts. The 285K is also more efficient in multi-threaded workloads than the 9950X based on AMD’s latest Zen 5 core architecture.

Arrow Lake is said to scale well at both peak performance and peak efficiency mode. In peak performance mode, the CPU offers up to a 19% uplift in multi-threaded performance versus the 14th Gen lineup while in peak efficiency mode, you are getting 58% lower package power in light-threaded scenarios while achieving the same performance at 1/2 the power as mentioned above.

Gaming Performance on Arrow Lake “Core Ultra 200S” Is Super Efficient and Super Cool

One of the major drawbacks of Intel’s gaming performance for desktop CPUs is that while they have been virtually on top of things and only stumbled since the launch of the Ryzen X3D parts, the CPUs do run hot and consume an awful lot of power. For gamers who find this annoying, Arrow Lake brings some major updates. For one, they run very cool and secondly, they consume way lower power than what you have been used to.

Starting with the comparisons of the Intel Core Ultra 9 285K against the Core i9-14900K, the blue team claims an average increase of 0.35% with the major gains being seen in F1 23, Civilization VI while the chip loses in Far Cry 6 and Final Fantasy XIV. The benchmarks were conducted with APO enabled and both chips tested at their MTP of 250W/253W so that’s Extreme mode for Raptor Lake & Performance mode for Arrow Lake.

While gamers may not see a major uplift in the vast majority of titles, what they will get is vastly lower power consumption. On average, the Core Ultra 9 285K consumes 73W lower power than 14900K running at baseline profile. The Arrow Lake chip even sees up to 165W, & 136W reduction in power figures across a range of games.

In a comparison demo where Assassins Creed Mirage was shown running on a 285K and a 14900K chip, the 285K delivered 261 FPS versus the 264 FPS of the 14900K but consumed 447W of system power versus the 527W system power of the 14900K. That’s a reduction of 80W.

What this power reduction means is that the chip also runs vastly cooler. The Intel Core Ultra 9 285K is said to offer up to 17C lower temperatures while gaming and an average of 13C lower than the 14900K using a 360mm AIO cooler. So if you got to see temps however between 60-70C on an i9-14900K, a Core Ultra 9 285K will bring those down to 50-57C which is quite a big deal. Cooler and efficient gaming is back on the table for those who prefer going the Intel route.

Intel also offers a gaming performance comparison of its Core Ultra 9 285K against the AMD Ryzen 9 9950X through a selection of games. Here, the lead is also marginal at best with the 285K either winning or losing against the 9950X and one thing to note is that APO is enabled here too so it’s not a fair way to compare both chips. The power characteristics and the temperatures are said to be better than the 9950X.

A comparison to an X3D part is also made and here we see the Ryzen 9 7950X3D against which the Core Ultra 9 285K secures faster multi-threaded performance in games but is about on par in games. This would mean that the upcoming “Zen 5” based Ryzen 9000X3D CPUs should end up offering better gaming performance considering just how potent the 7800X3D is within games.

One interesting slide that Intel has shared shows that the gaming performance for its Arrow Lake CPUs such as the Core Ultra 9 285K will be the same across different power limits. A 125W PL1 configuration should deliver the same gaming performance as the 175W PL1 and the 250W PL1 (Default) mode. So you can go the 125W PL1 mode on these chips if gaming is your only jam.

Lastly, Intel also offers a sneak peek of its Core Ultra 7 265K CPU against the Core i9-14900K in games. The 265K should be around 5% slower in gaming performance but consume up to 188W lower system power while offering 15C lower temps. Given its price point, the 265K can shake things up in the mainstream/high-end gaming segment.

Intel’s First Desktop CPU With An NPU

Arrow Lake “Core Ultra 200S” series is the first desktop CPUs from Intel to feature an NPU. AMD was the first to release a desktop chip with an NPU in the form of their Ryzen 8000G series which offers up to 16 TOPs and Intel’s NPU3 offers up to 13 TOPs.

The difference is that Intel is leveraging the entire compute capabilities of its Arrow Lake desktop chips to offer up to 36 platform TOPs that support VNNI, DP4a, and NPU acceleration. The chip still doesn’t meet the 40+ TOPS requirement for Copilot+ but desktop PCs will be using a discrete GPU which should offer 100s of TOPs, enough to be compliant for those features.

It’s not how fast the NPU is but rather how well it can be leveraged and for that, Intel has a large force of AI engineers working around the clock to deliver support for its AI hardware in a range of light AI workloads, offering a big boost in performance.

For creators who want to gain extra performance, the new AI NPU can offer 50% faster creator workload performance in tasks such as AI-assisted video editing, 8x faster timeline playback for pro video codecs, and 20% faster rendering performance for ray-traced applications versus the Ryzen 9 9950X.

Intel 800-Series Platform, Supported By The New LGA 1851 Socket

With the chips and their performance out of the way, the next topic that we have to discuss is the platform. For its Arrow Lake lineup, Intel is introducing a brand new socket which ends the reign of the LGA 1700 series after a little over three years. The new socket from now onward will be LGA 1851 and this will be first featured on the new 800-series motherboards.

The 800-series chipsets will include several SKUs but the one we are getting today is the top-tier Z890. This platform offers a total of 48 PCIe lanes of which 20 are PCIe Gen 5.0 and these come from both the CPU and the PCH. The Z890 PCH features up to 24 PCIe 4.0 lanes, up to 4 eSPI, up to 10 USB 3.2 ports including 5 20G, 10 10G, and 10 5G options, up to 14 USB 2.0 links & up to 8 SATA III links.

The new platform is further enriched with the latest features which include:

Integrated I/O:

  • Up To 2 Thunderbolt 4 ports
  • Intel Killer Wi-Fi 6E (Gig+)
  • Bluetooth 5.3 (LE)
  • 1 GbE

Discrete I/O:

  • Up To 4 Thunderbolt 5 ports
  • Intel Killer Wi-Fi 7 (5 Gig)
  • Bluetooth 5.4 (LE)
  • 2.5 GbE

In terms of memory support, the new Z890 motherboards will offer up to DDR5-6400 (native) capabilities and expanded speeds over 8000 MT/s with XMP. The platform will support up to 48 GB DIMMs in the dual-channel mode for up to 192 GB capacities in UDIMM, CUDIMM, SODIMM, and CSODIMM flavors.

Lots of Goodies For Overclockers!

Finally, we have the new overclocking goodness which comes in the form of new functionalities for tuners with fine grain control.

These features include:

  • Granular core clock – Top turbo frequency in 16.6 MHz steps for P-Cores and E-Cores
  • Dual base clock – Run an independent BCLK for SOC and compute tiles
  • Tile-to-Tile & fabric OC – Can apply a static/BIOS ratio and supports dynamic ratio changes for fabric
  • DLVR bypass – Bypass the internal voltage management using an external supply for extreme OC
  • Intel eXtreme tuning utility – New features including automated OC enhancements
  • Memory overclocking – New memory controller supports new XMP and CUDIMM DDR5
  • P & E-core overclocking – P-core per-core V/f control, and E-Core per cluster V/f control
  • Low-temperature overvolting – Increasingly bypass voltage limits as the chip gets colder

The cooler operation of the chips also provides higher headroom for overclockers. As for the TJmax, Arrow Lake-S “Core Ultra 200S” will have a peak operating temperature of 105C.

With that said, Intel’s Arrow Lake-S “Core Ultra 200S” Desktop CPUs will be launching on the 24th of October across global retailers and you can expect the availability of the first Z890 motherboards from manufacturers such as ASUS, Gigabyte, MSI, ASRock, Colorful, Maxsun, and Biostar the same day.

Share this story

Facebook

Twitter

Continue Reading